MATLAB: Real Value Conversion to Binary with Range

binaryconversionfloating pointrangereal value

Again, i write on the same topic. Sorry for that.
Within the range values (e.g. [0,25]) how can i convert my real numbers to binary digits. The number of bits must be 15..
Thanks

Best Answer

dec2bin(round(Values * 2^10), 15) - '0'