MATLAB: Error while Generating VHDL code via System Generator.

simulink codersys genvhdl

When I am trying to use System Generator on my MDL, its giving me this error. My MDL has 10 subsystem. If I run each subsystem separately it doen't give me any error but when I run System Generatyor for more than 1 subsystem I get the following error.
PLEASE HELP
--------------------------------- Version Log ----------------------------------
Version Path
System Generator 12.1 G:/Xilinx/12.1/ISE_DS/ISE/sysgen
Matlab 7.11.0.584 (R2010b) C:/Program Files/MATLAB/R2010b
ISE G:/Xilinx/12.1/ISE_DS/ISE
--------------------------------------------------------------------------------
Summary of Errors:
Error 0001: G:\Xilinx\12.1\ISE_DS\ISE\sysgen\bin\nt\xlStatusBoxManage...
Block: 'tmp_a_a_a_a/tansig1/Gateway Out'
Error 0002: Internal Error
Block: 'tmp_a_a_a_a/Subsystem1/Subsystem21/Subsystem 22/Subsystem43/AddSub'
--------------------------------------------------------------------------------
Error 0001:
Reported by:
'tmp_a_a_a_a/tansig1/Gateway Out'
Details:
G:\Xilinx\12.1\ISE_DS\ISE\sysgen\bin\nt\xlStatusBoxManager.p: Too
many files open; check that FILES = 20 in your CONFIG.SYS file.
--------------------------------------------------------------------------------
Error 0001:
Reported by:
'tmp_a_a_a_a/Subsystem1/Subsystem21/Subsystem 22/Subsystem43/AddSub'
Details:
An internal error occurred in the Xilinx Blockset Library.
Please report this error to Xilinx (http://support.xilinx.com),
in as much detail as possible. You may also find immediate help
in the Answers Database and other online resources at http://support.xilinx.com.
Error occurred during "Simulation Initialization".
--------------------------------------------------------------------------------

Best Answer

The error message says that it is a Xilinx problem that should be reported to Xilinx support.
Related Question